ASML CTO uważa, że ​​obecna technologia litografii może się skończyć

Sep 28,2022
W ostatnich latach ASML stał w centrum technologii półprzewodników na świecie. ASML podniósł swój cel produkcyjny dwa razy w zeszłym roku, mając nadzieję, że do 2025 r. Jego roczne przesyłki osiągną około 600 Duv (głębokie ultrafioletowe) maszyny litograficzne i 90 EUV (Extreme Ultraviolet) Litography Machines. Problemy z dostawą występują codziennie z powodu ciągłego niedoboru wiórów, a ASML napotkał niespodzianki, takie jak ogień w fabryce w Berlinie.

Kilka dni temu ASML CTO Martin van den Brink przyjął wywiad z Bits & Chips.


Według Martina Van den Brink, największym wyzwaniem w opracowaniu technologii EUV o wysokiej zawartości EUV było budowanie narzędzia metrologicznego optyki EUV, z lustrami dwukrotnie większą niż poprzednie produkty, przy jednoczesnym utrzymaniu płaskości w odległości 20 pikometrów. Należy to zatwierdzić na statku próżniowym „pół firmy” w Zeiss, kluczowym partnerze optycznym w rozwoju technologii EUV w ASML, który został dodany później.

Obecnie ASML wykonuje swój mapę drogową w uporządkowany sposób i postępuje płynnie. Po EUV jest technologia EUV o wysokiej zawartości EUV. ASML przygotowuje się do dostarczenia pierwszej maszyny litograficznej EUV dla klientów, która prawdopodobnie zostanie ukończona w pewnym momencie w przyszłym roku. . Chociaż problemy z łańcuchem dostaw mogą nadal zakłócać harmonogram ASML, nie powinno to być tak duży problem. Maszyny litograficzne EUV o wysokiej zawartości EUV są bardziej głodne władzy niż istniejące maszyny do litografii EUV, rosnące z 1,5 megawatów do 2 megawatów. Głównym powodem jest źródło światła, High-Na używa tego samego źródła światła, które wymaga dodatkowych 0,5 MW, a ASML wykorzystuje również chłodzony wodą drut miedziany do jego zasilania.

Świat zewnętrzny chce również poznać następcę po technologii wysokiej EUV. Jos Benschop, wiceprezes ds. Technologii w ASML, ujawnił na zeszłorocznej konferencji litograficznej SPIE możliwą alternatywę, zmniejszając długość fali. Istnieje jednak kilka problemów do rozwiązania z tym rozwiązaniem, ponieważ wydajność, z jaką lusterka EUV odbijają światło, jest w dużej mierze zależna od kąta padania, a zmniejszenie długości fali zmienia zakres kątowy, aby soczewki musi stać się zbyt duże, aby zrekompensować , zjawisko, które pojawia się również wraz ze wzrostem apertury numerycznej.

Martin van den Brink potwierdził, że ASML nad tym pracuje, ale osobiście podejrzewam, że Hyper-na będzie ostatnim NA i niekoniecznie wejdzie do produkcji, co oznacza, że ​​po dziesięcioleciach innowacji litograficznej możemy będziemy Przyjdź na koniec obecnej drogi technologii litografii półprzewodników. Głównym celem programu badawczego ASML jest opracowanie inteligentnych rozwiązań, które utrzymują technologię zarządzania pod względem kosztów i możliwości produkcji.


System wysokiej AUV zapewni aperturę numeryczną 0,55, z lepszą dokładnością w porównaniu z poprzednimi systemami EUV z 0,33 numerycznymi soczewkami apertury, umożliwiając wzorce o wyższej rozdzielczości dla mniejszych cech tranzystorowych. W systemie Hyper-NA będzie wyższy niż 0,7, a nawet 0,75, co jest teoretycznie możliwe.

Martin van den Brink nie chce tworzyć większego „potwora”. Oczekuje się, że Hyper-Na może być kolejnym problemem w opracowywaniu technologii litografii półprzewodników, a jej koszty produkcji i użytkowania będą zadziwiająco wysokie. Jeśli koszt produkcji technologii Hyper-NA rośnie w takim samym tempie, jak obecna technologia EUV o wysokim poziomie, jest prawie niemożliwa ekonomicznie. Na razie to, co Martin van den Brink ma nadzieję pokonać koszt.

Skurcz tranzystora spowalnia z powodu potencjalnie nie do pokonania ograniczeń kosztów. Dzięki postępom w integracji systemu nadal warto rozwijać nowe pokolenia żetonów, co jest dobrą wiadomością. W tym momencie pytanie staje się bardzo realne: które struktury układów są zbyt małe, aby można je było wyprodukować ekonomicznie?
Produkt RFQ